From 97bffac8f00192921ca44f0e17d11a1f5592442b Mon Sep 17 00:00:00 2001 From: Nikolay Puzanov Date: Sun, 11 Jun 2023 16:57:06 +0300 Subject: [PATCH] Fix reset --- source/testbench.sv | 14 ++++---------- 1 file changed, 4 insertions(+), 10 deletions(-) diff --git a/source/testbench.sv b/source/testbench.sv index a56626a..eff8ef8 100644 --- a/source/testbench.sv +++ b/source/testbench.sv @@ -178,19 +178,13 @@ module testbench (input clock); // Reset localparam RESET_DURATION = 5; - int reset_counter = RESET_DURATION; - always_ff @(posedge clock) - if (reset_counter == 0) - reset <= 1'b0; - else - reset_counter <= reset_counter - 1; + initial begin + repeat(RESET_DURATION) @(posedge clock); + reset = 1'b0; + end // Print console output - // always_ff @(posedge clock) - // if (!reset && console_send) - // $write("%c", o_console_data); - initial forever begin @(posedge clock);