diff --git a/_web_server/server/top_iverilog.sv b/_web_server/server/top_iverilog.sv index 8194dde..00803c2 100644 --- a/_web_server/server/top_iverilog.sv +++ b/_web_server/server/top_iverilog.sv @@ -7,5 +7,6 @@ module __@TOPMODULE@__; initial begin $dumpfile("@WORKDIR@/@TOPMODULE@.vcd"); $dumpvars(0, @TOPMODULE@); + $dumplimit(100000); end endmodule