From 42f22147ea70040c5e21b701f0ad7ea3d4c2fb76 Mon Sep 17 00:00:00 2001 From: Nikolay Puzanov Date: Sat, 3 Dec 2022 23:53:03 +0300 Subject: [PATCH] Limit dump file size for Icarus Verilog --- _web_server/server/top_iverilog.sv | 1 + 1 file changed, 1 insertion(+) diff --git a/_web_server/server/top_iverilog.sv b/_web_server/server/top_iverilog.sv index 8194dde..00803c2 100644 --- a/_web_server/server/top_iverilog.sv +++ b/_web_server/server/top_iverilog.sv @@ -7,5 +7,6 @@ module __@TOPMODULE@__; initial begin $dumpfile("@WORKDIR@/@TOPMODULE@.vcd"); $dumpvars(0, @TOPMODULE@); + $dumplimit(100000); end endmodule