`timescale 1ps/1ps module __@TOPMODULE@__; logic clock = 1'b0; initial forever #(5ns) clock = ~clock; @TOPMODULE@ @TOPMODULE@ (clock); initial begin $dumpfile("@WORKDIR@/@TOPMODULE@.vcd"); $dumpvars(0, @TOPMODULE@); $dumplimit(100000); end endmodule