This commit is contained in:
Nikolay Puzanov 2023-06-13 16:35:18 +03:00
parent 68a2501686
commit a3815caa0a
6 changed files with 31 additions and 0 deletions

View File

@ -39,6 +39,7 @@
Результаты для 200 знаков на том же процессоре:
```
test-iverilog: 3257116
test-xsim: 938296
test-modelsim: 359562
test-verilator: 20816
```
@ -53,6 +54,7 @@
test-xcelium: 1
test-vcs: 1.37
test-modelsim: 5.95
test-xsim: 15.5
test-iverilog: 58
```

1
test-xsim/.dir-locals.el Normal file
View File

@ -0,0 +1 @@
((verilog-mode . ((flycheck-verilator-include-path . ("../source")))))

4
test-xsim/.gitignore vendored Normal file
View File

@ -0,0 +1,4 @@
webtalk*
xsim.*
xelab.*
xvlog.*

13
test-xsim/__build.sh Executable file
View File

@ -0,0 +1,13 @@
#!/usr/bin/env bash
set -e
FFILE=../source/sources.f
SOURCES=$(cat $FFILE | sed -ze 's/\n/ /g')
rm -rf xsim.dir
rm -rf webtalk*
rm -rf xvlog.* xelab.* xsim.*
rm -rf top.wdb
xvlog -work work --sv top.sv $SOURCES
xelab --O3 -L work top

4
test-xsim/__run.sh Executable file
View File

@ -0,0 +1,4 @@
#!/usr/bin/env bash
#vsim -c -batch -voptargs=+acc=npr -do "run -all" -quiet -lib testbench top
xsim top --runall

7
test-xsim/top.sv Normal file
View File

@ -0,0 +1,7 @@
`timescale 1ps/1ps
module top;
logic clock = 1'b0;
initial forever #(10ns/2) clock = ~clock;
testbench testbench (clock);
endmodule