2022-11-17 13:25:05 +03:00

17 lines
277 B
Systemverilog

`timescale 1ps/1ps
module top
(input wire clock,
input wire reset);
initial begin
for (int n = 0; n < 10; n += 1) begin
$display("R@%0t: %0b\n", $time, reset);
@(posedge clock);
end
$finish;
end
endmodule // top