12 lines
224 B
Systemverilog
12 lines
224 B
Systemverilog
`timescale 1ps/1ps
|
|
|
|
module __@TOPMODULE@__;
|
|
@TOPMODULE@ @TOPMODULE@ ();
|
|
|
|
initial begin
|
|
$dumpfile("@WORKDIR@/@TOPMODULE@.vcd");
|
|
$dumpvars(1, @TOPMODULE@);
|
|
$dumplimit(100000);
|
|
end
|
|
endmodule
|