Fix reset
This commit is contained in:
parent
686d12bf81
commit
97bffac8f0
@ -178,19 +178,13 @@ module testbench (input clock);
|
|||||||
|
|
||||||
// Reset
|
// Reset
|
||||||
localparam RESET_DURATION = 5;
|
localparam RESET_DURATION = 5;
|
||||||
int reset_counter = RESET_DURATION;
|
|
||||||
|
|
||||||
always_ff @(posedge clock)
|
initial begin
|
||||||
if (reset_counter == 0)
|
repeat(RESET_DURATION) @(posedge clock);
|
||||||
reset <= 1'b0;
|
reset = 1'b0;
|
||||||
else
|
end
|
||||||
reset_counter <= reset_counter - 1;
|
|
||||||
|
|
||||||
// Print console output
|
// Print console output
|
||||||
// always_ff @(posedge clock)
|
|
||||||
// if (!reset && console_send)
|
|
||||||
// $write("%c", o_console_data);
|
|
||||||
|
|
||||||
initial
|
initial
|
||||||
forever begin
|
forever begin
|
||||||
@(posedge clock);
|
@(posedge clock);
|
||||||
|
|||||||
Loading…
x
Reference in New Issue
Block a user