Enable dump in iverilog testbench template

This commit is contained in:
Nikolay Puzanov 2023-06-02 11:08:51 +03:00
parent 54f7e2be54
commit e67558de14

View File

@ -10,4 +10,11 @@ module testbench;
initial begin
$finish;
end
`ifdef DUMP
initial begin
$dumpfile("testbench.fst");
$dumpvars(0, testbench);
end
`endif
endmodule