Limit dump file size for Icarus Verilog

This commit is contained in:
Nikolay Puzanov 2022-12-03 23:53:03 +03:00
parent b4507004b8
commit 42f22147ea

View File

@ -7,5 +7,6 @@ module __@TOPMODULE@__;
initial begin initial begin
$dumpfile("@WORKDIR@/@TOPMODULE@.vcd"); $dumpfile("@WORKDIR@/@TOPMODULE@.vcd");
$dumpvars(0, @TOPMODULE@); $dumpvars(0, @TOPMODULE@);
$dumplimit(100000);
end end
endmodule endmodule