Limit dump file size for Icarus Verilog
This commit is contained in:
parent
b4507004b8
commit
42f22147ea
@ -7,5 +7,6 @@ module __@TOPMODULE@__;
|
||||
initial begin
|
||||
$dumpfile("@WORKDIR@/@TOPMODULE@.vcd");
|
||||
$dumpvars(0, @TOPMODULE@);
|
||||
$dumplimit(100000);
|
||||
end
|
||||
endmodule
|
||||
|
||||
Loading…
x
Reference in New Issue
Block a user